<div dir="ltr">Hello all,<br><br>Is it at all possible to get the current object code for a module directly from the code server? `code:get_object_code/1` returns information from the beam files in the code path and not from the code server itself, and so if there are modules that are dynamically compiled and loaded with `code:load_binary/3` that doesn't work.<br><br>Cheers,<br>Devon</div>