visibility of a function in a module

HP Wei hp@REDACTED
Fri Apr 11 15:34:41 CEST 2003


>Richard Carlsson made something like this (called Parameterised modules)

>   M = load (test(Base)),
>   M:do()
>
>   This can be implemented by some relatively simple code transformations.

  I guess this is not an 'official feature' of erlang yet. (?)
  And I could not find it in User Contribution.
  Where do I find an implementation of this Parameterised module ?
  
  --HP
  




More information about the erlang-questions mailing list