Problems with debugger

Salvador Alcaraz Carrasco salcaraz@REDACTED
Thu Mar 7 17:04:31 CET 2002


Hy friends, I have problem.

In older versions of Erlang, I used a debugger module and the q function,

debugger:q(module,function,parameters)

For example:

the module and the start function

example.erl
s()


I could debug it using:

debugger:q(example, s,[])





NOW, With last version, I have problem. You can  see the error.

I am going to do step-by-step:

The code of prueba.erl is:

-module(prueba).
-export ([s/1]).
s(A)->
    erlang:display(A),
    s(A+1).




1. START ERLANG + COMPILE + DEBUGGER

bash-2.05a$ erl
Erlang (BEAM) emulator version 5.1 [source]

Eshell V5.1  (abort with ^G)
1> c(prueba).
{ok,prueba}
2> debugger:q(prueba,s,[]).

=ERROR REPORT==== 7-Mar-2002::17:00:55 ===
Error in process <0.36.0> with exit value:
{badarg,[{erlang,binary_to_term,[<<0
bytes>>]},{dbg_iload,abstr,1},{dbg_iload,store_module,3},{dbg_iload,load_mod1,3}
]}
** exited: {undef,[{prueba,s,[]},
                   {erl_eval,expr,3},
                   {erl_eval,exprs,4},
                   {shell,eval_loop,2}]} **
3>





¿Can you help me?

¿Where is the error?

Thank you in advance

Salva


__________________________________________________________________________
Salvador Alcaraz Carrasco                      http://www.umh.es
Arquitectura y Tecnologia de Computadores      http://obelix.umh.es
Dpto. Fisica y Arquitectura de Computadores    salcaraz@REDACTED
Universidad Miguel Hernandez                   salcaraz@REDACTED
Avda. del ferrocarril, s/n                     Telf. +34 96 665 8495
Elche, Alicante (Spain)
__________________________________________________________________________




More information about the erlang-questions mailing list