Debugger broken in P8A ?

Mickael Remond mickael.remond@REDACTED
Sun Sep 23 19:08:01 CEST 2001


Hello,

I have tried the debugger in the P8A prerelease (2001-09-13).
It seems that I can no more interpret module from the debugger
interface. I get the following error chen trying to interpret a module:


Eshell V2001.09.13  (abort with ^G)
1> debugger:start().
<0.30.0>
2> 
=ERROR REPORT==== 23-Sep-2001::19:04:55 ===
Error in process <0.41.0> with exit value:
{badarg,[{erlang,binary_to_term,[<<0
bytes>>]},{dbg_iload,abstr,1},{dbg_iload,store_module,3},{dbg_iload,load_mod1,3}]}

I don't know why for the moment.
I have tried with several modules, but I get the same error.

Any clue ?

-- 
Mickaël Rémond
http://www.erlang-fr.org/



More information about the erlang-questions mailing list